Å©¸²½¼¿¡µðÅÍ ÀÚÀ¯ °Ô½ÃÆÇ

- Å©¸²½¼¿¡µðÅÍ ÇÑ±Û °Ô½ÃÆÇÀÔ´Ï´Ù. ¿¡µðÅÍ¿Í °ü·ÃÇÑ ¹ö±×, Á¦¾È ¶Ç´Â ÀÇ°ßÀ» Àû¾îÁÖ¼¼¿ä.

2004/08/20 (22:16) Article Number : 5115
Delete Modify À¯Àΰ© (yikpeace@hotmail.com) Access : 6110 , Lines : 9
HDLÆÄÀϵµ ÆÄÀÏÇü½Ä¿¡ Ãß°¡ÇØÁÖ¼¼¿ä..
Àú´Â Verilog HDLÀ» Å©¸²½¼¿¡µðÅÍ·Î ¾Ö¿ëÇÏ°í ÀÖ½À´Ï´Ù.

±×·±µ¥.. VHDL(*.vhd)À̳ª Verilog HDL(*.v)Àº ÄÄÆÄÀÏÀ» ÇÏ¸é ºÎ°¡ÀûÀ¸·Î
»ý¼ºµÇ´Â ÆÄÀÏÀÌ ¸¹Àº Ư¡À» °®°í Àִµ¥...
±×·¯¸é openÀ» ÇÒ °æ¿ì ¼Ò½ºÆÄÀÏÀ» ã´Âµ¥ ¾î·Á¿òÀÌ ÀÖ½À´Ï´Ù.

±×·¡¼­ HDLÆÄÀÏ(*.vhd; *.v)µµ ÆÄÀÏÇü½Ä¿¡ Ãß°¡ÇØÁÖ¼ÌÀ¸¸é ÇÕ´Ï´Ù.
ºÎŹÇØ¿ä~~
¼ö°íÇϼ¼¿ä..

Backward Forward Post Reply List